what is a mux
To design an OR using 2:1 mux, we need to tie the "First" input to "Logic 1″ and the "Zeroth" input to the one of the input of the OR Gate. The other input of OR gate would be connected with the select line of the MUX. Now, the output of the MUX would be "1″ when any oth the two inputs would be "1″ otherwise it would be "0″ for all conditions.
MUX(A, B, S) = A*S + B*S' NOT(A) = MUX(0, 1, A) = 0*A + 1*A' = A' From http://noyesno.net/
yes, given MUX in number To be implemented MUX 2:1MUX x 3 4:1MUX 4:1MUX x (4+1) 16:1MUX 4:1MUX x (16+4+1) 64:1MUX 8:1MUX x (8+1) 64:1MUX 8:1MUX x (32+4+1) 256:1MUX
we'll get 4 input mux cuz 2^4=16.... therefore the first 4 input mux has 16 o/p.. hence four-4 i/p mux are required to fill all 16 leads.
If you want to have output z = A NOR B. Make select line of 2X1 MUX = A. Now, the first i/p line (corresponding to A =0) = B ' BAR tthe second i/p line (corresponding to A=1) = 0.
Glitch - 2012 Game Night 1-2 was released on: USA: 3 September 2012
a mux has many i/ps & 1 o/p but a router connects many n/ws and the may or may not be of same kind a mux does not follow an algo but router follows algo such as dikakstra bellman ford or any other or a combination.......
implement it. enough said.
A simple program for 8 x 1 multiplexer is given below. Library ieee; use ieee.std_logic_1164.all; entity mux is port (a, b, c, d, e, f, g, h : in std_logic; s: in std_logic_vector ( 2 downto 0); y, yn : out std_logic ; St : in std_logic) ; end mux ; architecture mux of mux is signal yt : std_logic; begin process (a, b, c, d, e, f, g, h, s, yt) begin case s is when "000" => yt <= a; when "001" => yt <= b; when "010" => yt <= c; when "011" => yt <= d; when "100" => yt <= e; when "101" => yt <= f; when "110" => yt <= g; when "111" => yt <= h; when others => yt <= (others => '0'); end case; if St='1' then y <= yt; yn <= not yt; else y<= '0'; yn <= '1'; end if; end mux;
1. There was a glitch 2. You were banned
Jo MUX hai wo circuit ki tarah karya karta hai. adhik jankari ke liye csa ki book search kre. Deepak Shukla. Duble MCA-